A l'instant 0, fifo2 execute une unite de temps A l'instant 1, fifo2 execute une unite de temps A l'instant 2, fifo2 execute une unite de temps A l'instant 3, fifo2 execute une unite de temps A l'instant 4, fifo3 execute une unite de temps A l'instant 5, fifo3 execute une unite de temps A l'instant 6, fifo3 execute une unite de temps A l'instant 7, fifo3 execute une unite de temps A l'instant 8, pas de tache prete A l'instant 9, pas de tache prete A l'instant 10, fifo1 execute une unite de temps A l'instant 11, fifo1 execute une unite de temps A l'instant 12, fifo1 execute une unite de temps A l'instant 13, fifo1 execute une unite de temps A l'instant 14, pas de tache prete A l'instant 15, pas de tache prete