A l'instant 0, fifo1 execute une unite de temps A l'instant 1, fifo1 execute une unite de temps A l'instant 2, fifo1 execute une unite de temps A l'instant 3, fifo1 execute une unite de temps A l'instant 4, fifo2 execute une unite de temps A l'instant 5, fifo2 execute une unite de temps A l'instant 6, fifo2 execute une unite de temps A l'instant 7, fifo2 execute une unite de temps A l'instant 8, fifo3 execute une unite de temps A l'instant 9, fifo3 execute une unite de temps A l'instant 10, fifo3 execute une unite de temps A l'instant 11, fifo3 execute une unite de temps A l'instant 12, pas de tache prete